StackRating

An Elo-based rating system for Stack Overflow
Home   |   About   |   Stats and Analysis   |   Get a Badge
Rating Stats for

Jim Lewis

Rating
1540.49 (11,572nd)
Reputation
2,359 (71,486th)
Page: 1 2 3
Title Δ
Error: Signal parameter requires signal expression on function call 0.00
How to access implicit "=" function for an array type whe... 0.00
vhdl signed and unsigned type endianess +0.45
How to write new line to file in VHDL? +0.44
VHDL numeric_std function ("+") +0.44
VHDL 2008: Index in external names containing generated instances -0.56
VHDL: is correct to use don't care? +1.80
VHDL Confusion using concurrent code and sequential code -0.03
VHDL: Case Statement choices must cover all possible values of expr... -0.06
Extra variable assignment in VHDL code makes it not work and get er... 0.00
What is the point of a subtype when a type can be constrained? -0.54
Assigning a vector of variable length to a static sized vector in V... 0.00
How to make a vhdl Mealy state machine? 0.00
Error while programming an adder with modelsim in VHDL 0.00
usage of VHDL generics 0.00
Simple VHDL testbench procedure for sending serial bytes? -1.85
VHDL conditional type generation (flavor of FW) 0.00
Can we overwrite a variable in a loop over VHL? 0.00
in VHDL, is it possible to create an array of std_logic_vector with... +1.87
How to create an asynchronous Edge Detector in VHDL? -2.36
IEEE.numeric_std.all library does not allow for unsigned declarations 0.00
How to use iterate variable in case statement [VHDL] +0.15
Implementing open collector and 'z' data type +0.19
Both edges of Clk in VHDL Synthesis Coding -0.08
Why is there no current standard synthesizable subset of VHDL? 0.00
Can I capture simulator output to console in my testbench? 0.00
IS_X function synthesis 0.00
Is VHDL default signal assignment also necessary for variables? +2.05
VHDL: Error in when conditional 0.00
ERROR 10818 - Can't infer register because it does not hold its... 0.00
VHDL 2008 can't drive a signal with an alias of an external name 0.00
VHDL Conditionals (in if then) using "=" vs ":=" 0.00
VHDL-2008 to_01 conversion +3.55
When to use VHDL library std_logic_unsigned and numeric_std? -4.73
VHDL Aggregate Assignment Using Aliases -4.01
VHDL-2008 continuously force an external name 0.00
How can I extend an output by Zero in Quartus 2? 0.00
VHDL error in for generate -0.67
How to deallocate an acces type variable before returning value at... +3.84
concurrent and conditional signal assignment (VHDL) +4.00
concurrent and conditional signal assignment (VHDL) -4.00
Difference between assigning signal inside process vs assigning act... +1.67
Convert enum type to std_logic_vector VHDL +3.55
Procedure call through different packages in VHDL 0.00
Stop VHDL simulation with wait statements +0.09
Where am I making mistake in VHDL? 0.00
Global Package to merge several packages vhdl +3.88
How can I get internal signals to testbench in VHDL 97 and ISim? 0.00
variable must be constrained error -0.44
Why is an unconstrained constant vector in GHDL not considered to b... 0.00