StackRating

An Elo-based rating system for Stack Overflow
Home   |   About   |   Stats and Analysis   |   Get a Badge
Rating Stats for

EML

Rating
1501.64 (386,659th)
Reputation
6,021 (27,076th)
Page: 1 2 3 ... 4
Title Δ
VHDL: is correct to use don't care? -1.80
How to properly prepare makefile for bigger project? 0.00
VHDL: find integer range by the width of corresponding width of std... 0.00
Segmentation fault occurs when accessing records in a dynamic array... -2.15
Static threaded flag and -O3 -0.48
Program that prints out the product of two complex numbers - segmen... -0.51
Lvalue and Rvalue with C++ arrays -1.66
Why does these 3 lines of code return address-sanitizer error? +2.04
Reading Binary File in C throws Segmentation fault +1.68
vhdl modelsim return "1" or "0" status to comma... +0.34
First assign and then reassign in if block as alternative to if-(el... +0.48
Verilog: how to elegantly write the equivalent of a table of struct +2.19
Proper way to change state on a state machine in VHDL 0.00
I'm writing some verilog code and I keep getting error code &qu... 0.00
Will temp variable in always_comb create latch +0.01
Faulty outputs for JK flip flop state diagram implementation 0.00
Proper way of defining a type to hold sum of two integer in VHDL -0.65
Loop VHDL It does not work as expected +0.50
Number of independent AES 256 CBC decryption operations per second... 0.00
VHDL - My code is synthesizable and works the way i want on simulat... 0.00
xillinx placement constraint to implement design in four corner of... 0.00
VHDL Data Flow description of Gray Code Incrementer +0.24
"after" not working in Modelsim -0.51
Verilog: always@* block not getting triggered +0.12
FSM Mealy Machine Sequence Detector. How to use multiple flip flops? 0.00
global declarations illegal in verilog 2001 syntax Task 0.00
Simple SR Latch Simulation in VHDL(with Xilinx) doesn't oscillate -0.15
Check if a number is divisible by 3 in logic design 0.00
Verilog : uart on FPGA and simulation behavioural differences 0.00
vhdl assign unconstraint std_logic_vector - lsb to msb or msb downt... 0.00
Synchronous vs Asynchronous logic - SR-Flipflop +0.50
A reg is not a legal lvalue in this context [6.1.2(IEEE)] 0.00
Spaces required between keyword and literal +0.48
VHDL - Clock a flip flop with a variable? -0.54
Verilog module cannot calculate a&b and a|b +0.25
while loop inside a for loop -0.04
Issue with Converting Double to String in C++ +0.49
structural vhdl: creating a "main function" 0.00
What does it mean when they say JavaScript is single-threaded? -0.80
vhdl parser in C -1.88
ld: 4 duplicate symbols for architecture x86_64 +2.25
LFSR description right? 0.00
VHDL concurrent selective assignment synthesis -0.45
How to convert a VHDL code in Verilog using Icarus Verilog? 0.00
Calculating the Overflow Flag in an ALU +0.01
How to get MAX or MIN in Verilog? 0.00
Variable length messages in Verilog (serial CRC-32) +2.32
Parameterized FIFO instantiation in Verilog 0.00
Accessing part of std_logic_vector using variables as indexes +0.50
How to override $value$plusargs 0.00