StackRating

An Elo-based rating system for Stack Overflow
Home   |   About   |   Stats and Analysis   |   Get a Badge
Rating Stats for

QuantumRipple

Rating
1505.78 (126,580th)
Reputation
976 (157,859th)
Page: 1
Title Δ
How to implement derivative, and create a delay of dozens of clock... 0.00
Q: VHDL Implementation of 2 simple funcitons 0.00
VHDL Shift Register not rotating right 0.00
Can a Port Share the Name of the Signal it's Being Mapped to in... 0.00
Synthesizing full adder with ISE 0.00
VHDL generic comparison and synthesis +3.96
Delaying the clock by a fraction of the period -3.90
Can't get simple Bit Sequence Recognizer circuit to work (FSM) +4.80
INOUT port signal is undefined when used as input and output 0.00
Most efficient VHDL for large vector? 0.00
full adder 3-bit std_logic_vector 0.00
type conversion VHDL 0.00
VHDL : for loop, index arithmetic doesn't work +4.04
How can I write a large VHDL module and keep it readable? +2.38
Why not a two-process state machine in VHDL? +4.21
Can't resolve multiple constant drivers for net "clk_1hz&q... +4.46
What is the minimum number of bits I need to express a n-bit, signe... 0.00
VHDL. The signal value isn't changed after new value assigning -3.41
Index value 0 to 8 could be out of prefix range 1 to 8 - VHDL 0.00
FFT implementation on FPGA 0.00
Image Processing Pipelining in VHDL -3.74
How to specify the multicycle constraint for all paths using certai... 0.00
Pulse generator in VHDL with any frequency 0.00
what exactly is a variable in VHDL? 0.00
How to change signal value instatntly? +0.07
VHDL Program Counter , multiple constant driver error 0.00
VHDL RS-232 Receiver +4.12
Using Generate in Vhdl -3.59
Snake game using FPGA (NEXYS2) 0.00
VHDL Counter 0 to 99 0.00
Can't resolve multiple constant drivers - two triggers must cha... 0.00
Shifting and adding a std_logic_vector (has 36 but must have 18 ele... 0.00
gitignore for VHDL project 0.00
VHDL Shift Register Program different results when using signals an... 0.00
using non-linear lookup operation in VHDL 0.00
Is this "glitch safe" clock mux really glitch safe? +4.26
What is the iteration error in the loop? +0.13
VHDL variable increment works in simulation and behaves differently... 0.00
vhdl quartus : left bound of range must be a constant 0.00
Hierarchical block <g3> is unconnected in block <main> 0.00
Integer or not, in vhdl -3.75
Structure of VHDL code for barrel shifter with behavior architecture -1.42
Zybo Zynq-7000 clk in ucf? 0.00
VHDL code for Tic tac toe game? +0.08
what is the difference between synthesis and simulation (VHDL) +4.19
VHDL syntaxe error near if -4.00
Is the (concurrent) signal assignment within a process statement se... -4.14
NAND basic cell using structural vhdl 0.00
VHDL filtering data 0.00
How can I use non-default delimiters when reading a text file with... -2.98