StackRating

An Elo-based rating system for Stack Overflow
Home   |   About   |   Stats and Analysis   |   Get a Badge
Rating Stats for

rick

Rating
1527.78 (20,703rd)
Reputation
1,486 (109,982nd)
Page: 1 2
Title Δ
Easy way of dividing an integer by 3 -4.35
Changing the modelsim.ini file (ModelSim) -2.15
Any Software to convert float to any-precision FPU? [or Matlab solu... +0.57
Insert row function for matrix (2D array) in vhdl? -0.49
VHDL invert if to reduce nesting -1.38
VHDL Code Help -Break integer into pieces -1.45
How to designate port as byte array in VHDL -2.44
VHDL State Machine testbench +3.63
Behavioral to Structural Conversion Problems VHDL 0.00
VHDL If problems -0.25
How to measure distance between two data in vhdl -2.48
VHDL microprocessor/microcontroller 0.00
Write function in VHDL 0.00
Decimal number on 7 segment display +3.41
How to initialize a bit vector in VHDL -1.65
VHDL Array Type in entity port +4.20
How to automatically simulate the top-level VHDL entity with ModelS... 0.00
Get a modules data without an entity statement in VHDL? +3.64
Error (10818): Can't infer register for "E" at clk200Hz.v... +0.21
Create two moving objects with VGA controller 0.00
create ++ operator in VHDL 0.00
Error with wait conditions +3.69
Generics in hardware description language -2.31
Whats the meaning of this" vector(vector'HIGH)='1'"? +3.77
VHDL - Adding two 8-bit vectors into a 9-bit vector +3.71
averaging 12 bit adc values using VHDL 0.00
"template" VHDL entities -0.25
Combinational Logic Timing +0.11
VHDL, Can a clocked process introduce latches? -1.88
VHDL event keyword on std_logic_vector -3.77
Conversion from numeric_std unsigned to std_logic_vector in vhdl +0.44
How to use a constant calculated from generic parameter in a port d... +0.14
Train Ticket Machine in VHDL 0.00
Trying to leftshiftlogical (sll) in VHDL for logic_vector. Getting... 0.00
VGA VHDL Screen Moves when I refresh -0.36
Creating a globally accessible subcircuit/signal (Logisim) 0.00
Executing sequencial statments in VHDL for synthesis 0.00
vhdl tructural statement inside a sequential architecture +1.97
Full 8 bit adder, illogical output -4.31
ALU + Shift Reg 0.00
Accessing 2 elements of the same array in VHDL -0.53
Filling an array with integer multiples of a signal value 0.00
what is #define equivalent in VHDL +3.77
VHDL Design - Clock +4.16
Counting down from an input value in VHDL 0.00
Shift register for std_logic_vector in VHDL 0.00
Add a constant to an std_logic_vector +0.52
How to place component parts on RAM on chip -0.33
Variable length std_logic_vector initialization in VHDL +3.78
How to use two switches in vhdl 0.00