StackRating

An Elo-based rating system for Stack Overflow
Home   |   About   |   Stats and Analysis   |   Get a Badge
Rating Stats for

ahmedus

Rating
1499.55 (3,774,894th)
Reputation
612 (232,141st)
Page: 1
Title Δ
How to embed a file excerpt in Jupyter 0.00
mapping error in verilog 0.00
Extra regs being created during synthesis 0.00
Latch generation warning in Verilog 8-bit processor code 0.00
What does "User-specified initial value defined for instance t... 0.00
How to implement hardware that remembers previous value in digital... 0.00
Is initial synthesizable in ASIC? 0.00
Declare a signal of the same type as another signal (VHDL) -3.57
Vivado 2015.1 VHDL Input/ Output Violation 0.00
Two module verilog is not working 0.00
Timing requirement not met during design compilation 0.00
how to update the output on the rising edge of the clock in structu... 0.00
Does time delay in a sequential logic circuit block have a influenc... -4.09
Flashing LEDs Verilog 0.00
Write to a reg's bitfields via alias names in Verilog 0.00
how to track errors in FPGA/ASIC development using post place'n... -2.28
Simple VHDL watch - Post-Synthesis iSim glitches 0.00
Simple VHDL watch - Post-Synthesis iSim glitches 0.00
Block Ram in VHDL 0.00
Displaying different numbers on 2 seven segment displays on VHDL (S... 0.00
High-impedance in RTL 0.00
How to calculate sin inverse (arcsin) in VHDL? +4.16
During implementing FIFO buffer code for serial communication takin... 0.00
Tips & Tricks to Optimize Adder Hardware 0.00
Execute FIFO using Verilog on Nexys4 DDR board 0.00
Multi dimensional array value assignment in verilog +3.94
What happens when one declares more signals(variables) than needed... -2.17
same source, different clk frequency(multi-clock design) +3.73
Issue with using component and forloop in VHDL 0.00
How to wait for edges in always block? -4.04
No macro in summary of synthesis on Xilinx FPGA 0.00
How to get synthesizable delay in verilog +3.90
how to get power estimation using xpower 0.00
What type of asynchronous reset for flop is better ? active low or... 0.00
What is the difference between set_false_path Vs. set_clock_group? 0.00
Exporting part of a circuit from a circuit defined as structural ne... 0.00
How to make sure that the hardware generated in the FPGA is correct... 0.00
Tool-specific definition in (System)Verilog, especially by quartus... 0.00