StackRating

An Elo-based rating system for Stack Overflow
Home   |   About   |   Stats and Analysis   |   Get a Badge
Rating Stats for

dwikle

Rating
1551.36 (7,531st)
Reputation
5,542 (29,658th)
Page: 1 2 3
Title Δ
Condition to set a flag in makefile 0.00
Difference between structure and union in System verilog 0.00
Use SystemVerilog parameters to decide which module to instantiate 0.00
Verilog Assignment nth iteration 0.00
How to define and assign Verilog 2d Arrays -3.62
Converting header or text file information to code using Linux/Vim -0.44
Make a copy of an existing workspace in Perforce 0.00
Verilog filename using for loop variable 0.00
ERROR : Verilog system function $value$plusargs invoked as task.Ret... +3.53
System Verilog Counterpart for 'sync' of Specman e +3.40
Avoiding code repetition in Verilog -0.44
Event control in always @(posedge clk) +0.47
I've deleted all the files in my directory. How can I get them back? +3.62
How to zero-extend a number if it is valid, or X-extend it otherwise? +4.14
Modelsim support for SV +3.00
Case statement in verilog -3.81
Python Object contains list of another object throws AttributeError 0.00
How to create a string from a pre-processor macro 0.00
Unable to create new branch in P4 using integrate -4.53
Can the preprocessor tell if SystemVerilog is enabled? 0.00
What can I do with a file open for 'add', if it's submitted via ano... 0.00
SystemVerilog: Using packages with classes and virtual interfaces 0.00
SystemVerilog vs C++ assignment: reference or copy? 0.00
what is clock recovery? 0.00
Importing C functions in System Verilog with file-type Argument +3.78
unicoded python string '' not returning empty +4.07
Excel data mapping using python -0.32
Assigning value to a register in a module instance in verilog 0.00
How to choose a random number within a given time? -0.28
Difference between struct, package and class in systemverilog 0.00
Accidentally "marked for delete" files in Perforce. How c... 0.00
Passing a hexadecimal value into a module in Verilog -1.88
System Verilog fork confusion, statements executed between fork and... 0.00
Verilog equivalent of time type constant 0.00
Pythonesque bit-slicing in Verilog? +3.68
Why can I not store 16 bits in a logic in SystemVerilog?! 0.00
returning queue from function in systemverilog 0.00
Finding the number of days in a month 0.00
using verilog task to create a pattern 0.00
SystemVerilog passing functions as an argument 0.00
What does the indexing operation do on an integer type in SystemVer... -4.47
verilog, binary length of a parameter -0.31
Quick Verilog HDL Prompt (Beginner) 0.00
Safely cast/convert SystemC struct of bit/logic vectors to a single... +3.61
What is the minimum length of time/cycles a System Verilog wait() s... +3.61
Initialization of array error in Verilog +4.25
How to emulate $display using Verilog Macros? +3.59
Can I give part selects meaningful names in verilog? +0.30
How do I get name of an instance using a method operating on it in... +1.79
Prefered HDL instantiation hierarchy for a SoC 0.00