StackRating

An Elo-based rating system for Stack Overflow
Home   |   About   |   Stats and Analysis   |   Get a Badge
Rating Stats for

sharvil111

Rating
1549.43 (8,120th)
Reputation
3,457 (48,779th)
Page: 1 2 3
Title Δ
Verilog expression evaluates to 'x' 0.00
What is the best way to check an event occurred in the past in SVA? -0.37
I am trying to apply constraints on some random packets (I could ap... 0.00
Unexpected result of verilog dflipflop code 0.00
Can i assign 2 state out of bound accessed bits to 4 state variable? 0.00
Giving a matrix as an input to a verilog module 0.00
Outputs of verilog testbench are all x or z 0.00
how to use assertoff from test to disable assertion in side uvm obj... +0.59
nested preprocessor directives using backslash for joining lines 0.00
Parameterizable cross length +2.83
Implementing sum of integers up to N in Verilog 0.00
Instantiate vector of custom modules in Verilog with additional par... 0.00
Verilog State Machine with Pulse Counter 0.00
What are the common and good usage of pre_randomize() and post_rand... +2.97
one hot encoding in Verilog 0.00
system verilog always within always -3.78
Non blocking Statements execution in verilog 0.00
Is $clog2 task supported in Verilog HDL? +0.21
uvm_event and system verilog event difference +4.16
Verilog code motion estimator 0.00
What are the advantages and the motivation of modports in a SystemV... 0.00
how to read special character from a file in verilog? 0.00
Verilog error : Unable to bind parameter in module 0.00
is there a way to exclude some coverpoints from coverage collection... 0.00
Rookie test bencher, can't make head or tail of errors. (Using... 0.00
Example with super function call in UVM 0.00
How to wire up modules and pass value 0.00
Is it allowed to instantiate a module inside always_comb block in s... 0.00
Illegal left hand side of blocking assignment +3.03
Verilog: Assigning a register to a register 0.00
Error Number 10170 in Verilog using If/Else and Case Statements +3.49
Debugging error "procedural assignment to a non-register k is... 0.00
For loop in `define Macro +4.23
systemverilog -> Passing parameters from an interface that insta... +3.53
Is it possible to take input port as array in verilog? 0.00
Verilog : For loop inside an always +3.76
"Illegal output or inout port connection for "port" 0.00
What exactly does it means the Argument in the always @ ( ) express... +4.03
Verilog code will simulate but won't synthesize. 0.00
Can I use generate-endgenerate block inside initial in SystemVerilog? 0.00
Verilog module for ALU but doesn't work properly 0.00
Verilog 4x16 Decoder outputs wrong data +3.84
verilog set bus equal to array of struct bits 0.00
Syntax error near "generate" and "endgenerate"... 0.00
Verilog multiplication through repeated addition 0.00
Verilog Module Instantiation Syntax Clarification 0.00
How to change ordered port list into named port list in systemveril... 0.00
custom report_server not working 0.00
UVM ports: put,get,export, analysis 0.00
When exactly to use "assign" keyword and when to use &quo... 0.00