StackRating

An Elo-based rating system for Stack Overflow
Home   |   About   |   Stats and Analysis   |   Get a Badge
Rating Stats for

Russell

Rating
1504.18 (176,279th)
Reputation
2,453 (68,773rd)
Page: 1 2 3
Title Δ
VHDL Dual Port RAM unexpected latches generated +0.20
Bits change when passing wire bus to module 0.00
Stopwatch verilog- Warnings +0.21
how to split 16 bit data into 2 8-bit data. VHDl +1.73
Insert row function for matrix (2D array) in vhdl? +0.49
Combining two wires in verilog 0.00
vhdl comparing vector output -1.81
Is I2C master to Master communication possible? +4.10
Use DCM for generate clock of 78 mhz from 100 mhz clock -1.94
Parse error, unexpected STRING_LITERAL, expecting PIPE or ROW VHDL -3.88
Can I make a nested with-select-when statement in VHDL? +4.22
VHDL:slow to fast clock 0.00
how to convert video farmat 0.00
Programming on an FPGA device -0.19
Why not use only boolean -3.88
What is inferred latch and how it is created when it is missing els... -3.66
Verilog possible latch -0.10
What is effective transfer rate for PCI bus? 0.00
Triangle waveform verilog +4.56
Attribute event requires a static signal prefix in 8 -bit Multiplie... -3.95
vhdl: Xilinx code error 0.00
How to measure distance between two data in vhdl +4.30
port map in structural VHDL code 0.00
How does VHDL deal with overflow? 0.00
difference between using reset logic vs initial values on signals -3.58
Parsing error in VHDL 0.00
Verilog two-way handshaking example -3.52
VHDL writing std_logic_vector as a signed integer in file +4.00
Unable to Elaborate FIFO on SP601 0.00
VHDL writing to file 0.00
3D Array in VHDL for Data Buffer 0.00
If I disable the IOBs in my custom IP, will it still work? What are... 0.00
Can we use an "if" before with-select -VHDL -0.13
Strange delay of one cycle in modules in verilog 0.00
Two's complement VHDL +2.03
Using a VHDL UCF file, how do I use the info inside to complete my... 0.00
How to Rewrite FSM not to use Latches +4.29
FPGA Ram design issue 0.00
Generics in hardware description language +3.27
How to set all the bits to be 0 in a two-dimensional array in Veril... -1.52
Time stamp in VHDL +0.11
VHDL exercises for a beginner 0.00
VHDL - Input not used +2.31
In a state machine process is there a difference if I state specifi... +4.13
FPGA interface protocol +4.78
Verilog runtime error and ModelSim -2.93
This is the code for a serial adder of 6 bit numbers in VHDL.There... -3.88
How to do factorial in VHDL created with multipliers 0.00
continuous averaging using VHDL 0.00
modelsim: find processes/variables 0.00