StackRating

An Elo-based rating system for Stack Overflow
Home   |   About   |   Stats and Analysis   |   Get a Badge
Rating Stats for

Serge

Rating
1505.96 (123,352nd)
Reputation
3,927 (42,729th)
Page: 1 2 3 4 ... 12
Title Δ
Using Module Parameter in Continuos assignment (Systemverilog) 0.00
How can I import parameters from external file using `include? -1.65
Redefinition of virtual method outside of class declaration C++ 0.00
Systemverilog recursion update value for next stage 0.00
Does SystemVerilog Generate support delays? -0.88
Blocking assignments in always block verilog? 0.00
"ERROR: multiple drivers on net" when setting a register... 0.00
Using assign inside $test$plusargs in systemverilog 0.00
Is there an upper limit to parameter value in Verilog? 0.00
What happens if you add a default case to a full case statements? 0.00
Verilog parameterized one-hot encoder 0.00
Any shorter way of implementing nested loops in c++? +0.48
SystemVerilog: writing into an array using a write pointer 0.00
Class type in System Verilog which can not be constructed and exten... -1.73
Why is streaming concatenation illegal with ternary conditional ass... +0.43
Variable Module Instatiation +0.52
How do I count a specific sequence in Verilog? +0.63
Need for multi-threading in Systemverilog using fork-join +2.48
Unexpected token 'endpackage' 0.00
verilog: vector assignment/ (vlog-13069) error -1.27
Verilog Constructing synchronous 4-bit counter using negative edged... 0.00
Symbol ";" at the end of `define statement -1.56
How do I determine the source of this memory change/corruption? 0.00
How can I fix the error: can't mix packed and unpacked types? +0.37
My statements come out as XXXXXX instead of the default value in a... 0.00
How to slicing array interface in system verliog -1.58
Are these two verilog sentences equivalent, do they take the same c... 0.00
passing generated modports to instances of the same module 0.00
How is a variable actually stored in memory for Java? 0.00
Binding internal DUT signal to interface and using it in monitor 0.00
Dividing a verilog genvar +0.59
Verilog Design Problems 0.00
Unexpected behaviour on this code, what is going on? -1.34
Illegal assignment pattern. the number of elements (1) does not mat... -0.49
How to make global definitions in c? Why #pragma once or include gu... 0.00
"?" don't care value in case statement 0.00
System Verilog Variable Module Name +2.43
Two always block in the same module. If the following technique is... +0.54
Having trouble in simulating data on verilog 0.00
Verilog: Use of register: When are the values actually updated? +0.52
Do For Loops sum between or after iterations? Verilog -1.69
Bidirectional constraint using the implication operator +2.68
Issue in writing a RTL logic 0.00
System Verilog Conditional Type Definition +0.49
A 4 bit counter d flip flop with + 1 logic Verilog 0.00
Floating point square root in Verilog +0.41
SV: Error llegal combination of procedural drivers -1.58
how to get the size of a parameter/number in bits? +2.51
why does my output signal have 2 clock cycles delay? 0.00
Implementing between sequential and combinational logic in HDL 0.00