StackRating

An Elo-based rating system for Stack Overflow
Home   |   About   |   Stats and Analysis   |   Get a Badge
Rating Stats for

Serge

Rating
1505.96 (123,352nd)
Reputation
3,927 (42,729th)
Page: 1 2 3 4 5 6 ... 12
Title Δ
Git Repo Submoduling another repo that contains a submodule 0.00
How to write a c program that takes 2 strings as arguments from the... 0.00
How to pull everything from a specific commit? 0.00
Segmentation fault on 2D arrays of certain size 0.00
What does the (*) symbol indicate in an always block in Verilog 0.00
Single changelog from multiple Git repos 0.00
How to undo git init --bare in existing remote repository +0.05
Git - reorder branch commits 0.00
Same command run via bash and Java's ProcessBuilder api gets di... 0.00
Temporarily revert to previous commit, make changes, keep the chang... 0.00
In verilog, Is there any difference between import package at compi... 0.00
Declaring class handle as rand type in System Verilog 0.00
Shifting through concatenation in SystemVerilog? 0.00
Verilog addition unexpectedly results in x -1.50
Array of parameters in systemverilog +1.51
capture $finish in uvm_component 0.00
Program to convert octal to binary number system 0.00
How to terminate a program using SIGALRM +0.01
verilog code of FIFO (first in first out) is not showing the proper... 0.00
creating a constant vector of variable width in verilog -1.51
git working directory vs staging area vs local repo vs .git folder -0.59
Git repo subfolder as subtree of another repo? +0.80
Trying to access an interface inside interface from the env (System... 0.00
Steps to use .gitignore and .git/info/exclude +1.43
How to write string values in Verilog testbench? -1.85
Writing a multi-thread code to read a file in C 0.00
systemverilog module namespaces +0.40
How to change the default GIT branch from cmd +0.04
Passing argument as int to thread - Warning: cast to pointer from i... +0.78
Passing parameters to a Verilog function -0.33
How to assign an output with combinational logic on a parametric de... 0.00
Why the value changes on macro assignment to a variable? 0.00
passing an union to a function does not recognize the parameter name +2.23
SystemVerilog compile error when declaring interface for a module (... 0.00
100-bit binary ripple carry adde 0.00
Don't understand private/protected variables. My implementation... -0.24
What happens if I create an object of a class A but there also exis... -1.86
Missings in a definition of function which returns value - what typ... +1.67
How can I see memory addressing of the Stack by example? -1.49
Declare a port in Verilog where some bits are inputs and some are o... -0.74
Receiving "Segmentation fault" when attempting to insert... 0.00
How can I make the same module use different packages depending on... 0.00
Does Verilog Module Instantiation Order Matter? 0.00
Is there a way to connect uvm_tlm_analysis_fifo to uvm_driver? -0.49
How to compare two strings that are different sizes -0.73
Verilog: How to assign the output of a module to a bus which have d... 0.00
automatic variable 'trans' cannot be written in this context 0.00
signed and unsigned fixed point multiplication in SystemVerilog 0.00
Detecting deadlocks in thread safe linked list -0.02
How to fix Error (10170): Verilog HDL syntax error at Satellite.v(3... +0.51