StackRating

An Elo-based rating system for Stack Overflow
Home   |   About   |   Stats and Analysis   |   Get a Badge
Rating Stats for

Serge

Rating
1505.96 (123,352nd)
Reputation
3,927 (42,729th)
Page: 1 2 3 4 5 ... 12
Title Δ
Does the following verilog code have a race condition issue? +0.51
How to assign variable pins to a port in verilog? 0.00
How to connect 2 ports(input) to same wire in verilog 0.00
SystemVerilog Using Array of Interfaces +0.52
what does "virtual" mean when applied to a SystemVerilog... -0.49
Is it possible to conditionally generate a for loop in System Veril... 0.00
Include guards in SystemVerilog +2.49
Connection between local directory and remote repository lost 0.00
Reading array of regs using Verilator and VPI 0.00
How can I catch programmer error with malloc? -0.94
Verilog LRM Nondeterminism 0.00
How to ignore one or more output bus pins for a module instantiation +0.53
How to write code for part of a recursive descent parser? 0.00
how does the processor read memory? +0.11
Passing Struct Array to a Thread 0.00
Why does the one definition rule exist in C/C++ -0.27
System call function in C +0.30
overcome the lack of polymorphism in C +2.42
Is there a way to add the latest git log to a commit? 0.00
reset an origin to a certain commit -1.05
Multiprocessing vs multithreading misconception? 0.00
Difference in creating a struct using malloc and without malloc -0.23
How to understand the blocking and non blocking statements in veril... 0.00
zero delay signal event sequence check 0.00
Verilog - Assigning value to a reg twice in a single always block 0.00
generic structure in systemverilog 0.00
What is the difference between XOR in parenthesis and without in C... -1.02
Using malloc in C to make sure that user enter certain digits -1.56
Is there a way to cast an SystemVerilog assignment pattern into a p... -1.50
Parameterized interface in systemverilog +0.26
Output of the function call in verilog is not clear. Please help me... +2.55
write a verilog for D FF +0.03
Parameterizing a module based on an interface (SystemVerilog) +0.53
Verilog execution parallel or sequential if block along with anothe... 0.00
Getting The Best Out of Polymorphism in Java -2.14
In synopsys VCS, how can I get the raw RTL verilog output file? +0.02
What does this curly braces mean in Systemverilog? 0.00
Declaring Const variables +0.52
Array slicing in inside operator in system verilog constraints -0.63
How can I convert ASCII code to characters in Verilog language +0.19
How to check with Git before merge if the merge is necessary? (&quo... -0.57
How do I use combinational logic while using posedge? 0.00
Equivalent of System verilog packaged input output in verilog file 0.00
Git working directory and copying/moving it around +0.50
how to create symlink for files in GIT repository 0.00
why can we use always_ff inside always_comb in SystemVerilog? 0.00
How to pass signal name through $value$plusargs in system verilog -1.47
Segmentation fault when strcpy to struct -1.95
replace `define with let construct -1.49
Error: driven via a port connection, is multiply driven -1.87