StackRating

An Elo-based rating system for Stack Overflow
Home   |   About   |   Stats and Analysis   |   Get a Badge
Rating Stats for

Ari

Rating
1518.47 (35,131st)
Reputation
3,765 (44,605th)
Page: 1 2 3 4
Title Δ
Is there a way to define something like a C struct in Verilog -0.36
Insert string or comment into vcd dump file +0.32
Fill 0's with 1's beetween two 1's (synthesizable) +1.91
FSM: next state precedence +3.69
How to do complement for one bit in verilog -4.17
Assign vs if statement 0.00
Convert combinational loops into latches 0.00
Modport trouble using complex struct 0.00
Get system time in VCS +4.21
How do I fix "Error-[ICPSD] Invalid combination of drivers&quo... 0.00
Eight Bit Divider: Quotient and Remainder +3.75
Can Verilog/Systemverilog/VHDL be considered actor oriented program... +2.05
Can Verilog variables be given local scope to an always block? -1.77
Arithmetic Shift Operation In verilog -0.12
Verilog output value X in Gate Level 0.00
Why does combining these if statements result in higher logic eleme... 0.00
Accessing SystemVerilog code during simulation -3.82
use of for loop for assigning a bit blasted signals to its vector i... 0.00
Verilog: Using casex for synthesis 0.00
Access top level resources outside of hierarchy +1.86
Compile Time Constant in if condition in verilog -0.22
Verilog: How to create balanced logic(like case) instead of priorit... 0.00
How do you move non-zero elements in an array to the top in a singl... +3.83
Using interprocess synchronization in Systemverilog 0.00
Verilog bit change location -2.35
System Verilog interface with different inputs -2.91
Verilog multiple simultaneous independant signal assignments in tes... +4.23
Gate level Verilog syntax +3.98
FFT implementation in Verilog : Error using nested for loop +3.85
Verilog HDL syntax error near text "for"; expecting "... +4.37
How can I assign something to nothing in Verilog? -4.21
Verilog module order +2.11
Unknown value during simulation Carry Look Ahead with CMOS 0.00
Stopwatch verilog- Warnings -0.21
How to assign the state of a blinking LED in an always block in ver... 0.00
Prevent systemverilog compilation if certain macro isn't set +4.31
VBA Loop to Highlight Inconsistencies +3.96
how to generate a set of continuous one in verilog -1.97
Error (10170): Verilog HDL syntax error at filename near text "... 0.00
Verilog DFF Simulation Producing x for Output 0.00
Assigning an ID number or code to Verilog module +0.41
Assign values to all columns in 2D array in one Verilog statement 0.00
Detecting three consecutive set bits in Verilog 0.00
Is a bad practice to use long nested if-else in assign statement? -0.05
Please explain this SystemVerilog syntax {>>byte{...}} +0.42
How to create a file that's both valid perl and verilog 0.00
How can I show a sequence of numbers using a counter in Verilog 0.00
How can I make my parameterized bit widths cleaner? -3.99
How to access the chid class data member from parent class in c++ +3.94
Verilog Shift Register interface to AVR 0.00