StackRating

An Elo-based rating system for Stack Overflow
Home   |   About   |   Stats and Analysis   |   Get a Badge
Rating Stats for

toolic

Rating
1621.40 (1,065th)
Reputation
35,458 (3,239th)
Page: 1 2 3 ... 23
Title Δ
Connecting output of 4-bit counter to Hex to 7-Seg decoder and crea... 0.00
What is meant by this SystemVerilog typedef enum statement? 0.00
What is maximum size of the Queue in SystemVerilog? 0.00
Strange testbench behavior in gtkwave 0.00
iverilog error: syntax in assignment statement l-value 0.00
Constrain random 10-bit such that there won't be 7 consecutive... -2.35
Undefined behaviour when using the system function $urandom_range(m... 0.00
Verilog Testbench module not found 0.00
Use of uninitialized value $elements[6] in pattern match (m//) 0.00
Perl access value from Hash Reference +1.74
32-bit adder subtractor model compile error: Illegal Lvalue 0.00
Operands in verilog -2.38
I can't compile a .sv file (SystemVerilog) 0.00
Read a file and write segments into Excel using Spreadsheet::WriteE... 0.00
How to do `defined` with a hash slice 0.00
For loop with binary numbers 0.00
Convert string into array perl -2.87
How to use an array to output multiple values from one input? 0.00
Replacing some string in a text file shifts the remaining text to n... 0.00
Preserving blank columns & adding delimiters when reading fixed... +0.26
Using Perl to count non-special characters in a string -0.31
How to convert to proper time from stat() module +1.22
Assignment one item from two? 0.00
I don't understand this define macro with replication -0.35
Synthesized for loop in always_ff block 0.00
Binary to Grey Code and Grey to Binary using mode switch 0.00
How to write consecutive case statements? 0.00
ERROR :near "initial": syntax error, unexpected initial 0.00
4-bit register using D flip-flop with enable and asynchronous reset 0.00
What is this following syntax error in verilog ICARUS tool? 0.00
How can I automatically scale a $display column width? 0.00
Wrong output while modelling JK FF: output is x 0.00
Arbitrary Counter only displaying zeros 0.00
Why does Verilog output show x and z instead of zero and 1? 0.00
Spreadsheet::WriteExcel - Values are changed to scientific notation... 0.00
Writing random data to a RAM in a testbench 0.00
Value of a vector won't update 0.00
Why the memory content is not read? - verilog digital system design 0.00
I see undefined output sequences reading a memory in simulation 0.00
Can't see anything when accessing RAM contents in simulation 0.00
three bit counter with carry out and enable gives X output 0.00
Compare two hashes by value to get keys/values where the 2nd is gre... -1.24
Syntax in assignment statement l-value 0.00
System Verilog Illegal assignment: Cannot assign an unpacked type t... 0.00
ATM FSM has unknown output 0.00
Error: Syntax in assignment statement l-value 0.00
Why does ModelSim simulation freeze? 0.00
How to de-reference an array in Perl? 0.00
looping through json in perl 0.00
How do I search and replace with "OR" condition +0.32