StackRating

An Elo-based rating system for Stack Overflow
Home   |   About   |   Stats and Analysis   |   Get a Badge
Rating Stats for

toolic

Rating
1621.40 (1,065th)
Reputation
35,458 (3,239th)
Page: 1 2 3 4 5 ... 23
Title Δ
Seeing X for values in one module 0.00
Error: generate begin/end pair has been found outside of generate c... 0.00
Verilog Calculation Error 8-bit 1's Complement Subtractor 0.00
I am building an ALU in Verilog and my self-checking testbench keep... 0.00
Verilog self checking testbench will not run? Building a simple ALU... 0.00
How to Check Values in a Module vs the Values in Another Module in... 0.00
Not able to extract or untar file to Custom destination Directory +1.69
Verilog: More efficient way to use ternary operator -0.90
Perl Config::IniFiles - how do I create the ini file 'if not ex... 0.00
How can I use Perl's format function? +1.23
How to use vivado's simulation tool to simulate Vivado's fl... 0.00
Writing a simple function to count number of ones in a vector 0.00
Modeling a (2^n) x m single port RAM 0.00
assign statement in Questa Sim yields x as output 0.00
Error: syntax error in set_input_delay (Quartus) +0.33
Cause of inferred latches (not else or default statement) in Verilog -0.22
Issues with getopts in perl 0.00
Are braces required in if condition in perl? 0.00
Where would a GND driver come from? 0.00
Importing values from external file using `include 0.00
Illegal assignment expression in continuous assignment. Verilog Oct... +0.33
Testbench clk not advancing 0.00
Problems using shift function on @_ array in Perl +0.33
Does SystemVerilog Generate support delays? +0.54
Unable to understand error in D flip flop code +0.32
My function to convert dates leaves out the month -1.64
Behavioral model for single port RAM error on bidirectional inout p... 0.00
Modelling of a FSM using Verilog shows X 0.00
Verilog Structural description of an Edge-triggered T flip-flop wit... 0.00
Perl getting syntax error in if-statement +1.01
FSM Conditional counter 0.00
Is there a way I can find out where my error is? 0.00
What is the easier way to replace non-numeric characters with a spa... 0.00
How to make randomize redo until get the number I want? 0.00
Changing to automatic clock causes output to go blank 0.00
Why do I get Syntax Error in Assignment statement l-value? 0.00
How to add all, except one file in iverilog command line instructio... 0.00
16 -bit CLA instantiation 0.00
Verilog testbench outputs are x and z on a 16-bit carry adder 0.00
Correct way of Initializing a Vector in Verilog -0.48
Verilog unsigned non-restoring division. Syntax Error: "I give... +1.80
Setting registers using a one-hot signal 0.00
Why the array cannot assign value for wdata? 0.00
SystemVerilog Array of Bits to Int Casting 0.00
Verilog Minimum Bit Width -0.64
How do I count a specific sequence in Verilog? -0.21
always block with no sensitivity list - $display not executed +1.69
Data type errors in verilog 0.00
SIPO (Serial Input Parallel Output) FSM in verilog 0.00
Why is the timer output unknown? +0.33