StackRating

An Elo-based rating system for Stack Overflow
Home   |   About   |   Stats and Analysis   |   Get a Badge
Rating Stats for

toolic

Rating
1621.40 (1,065th)
Reputation
35,458 (3,239th)
Page: 1 2 3 4 5 6 ... 23
Title Δ
What is the difference between these 2 counters? +0.33
Perl Join Array After Second Element +1.47
Why is this code getting inferred latches? +0.34
My testbench has errors and can't compile this character ( ’ ) 0.00
verilog in making one's complement 1111 to signed magnitude 0000 -0.16
How do I fix error: near "[": syntax error, unexpected &#... -0.33
How to take directory path as input from the user +0.30
Verilog Temporary Variable -0.67
Verilog JK_FF Counter Error with illegal reference 0.00
Fetch particular line content from file stored in an array +0.61
the simulation output of my JK Flip-Flop just get nothing changed +0.33
What are the use of rand_mode(val)? -2.31
Is split what I want to use here or pop? +0.78
verilog: vector assignment/ (vlog-13069) error +1.27
Designing cpu but Value isn't moving in verilog 0.00
Can't create an array from the output of git pull 0.00
Verifying hashed password vs user input with crypt builtin 0.00
D Flip Flop Verilog Behavioral Implementation has compile errors 0.00
What happens when an assertion check fails? 0.00
Is there a function in perl to check if 2 arrays contain the same c... -0.46
Using Tasks to Blink an LED in Verilog 0.00
What does the notation "::*" mean in SystemVerilog? 0.00
SystemVerilog: always_comb construct does not infer purely combinat... 0.00
Dynamically Change the Key Value based on Delimiter in Perl +0.80
perl command line parameter -MIO flag 0.00
Verilog timing and clocking - input and output issues 0.00
How can I fix the error: can't mix packed and unpacked types? -0.44
Verilog "not a constant" error on bit-rotation +0.32
How can I fix this error 'Unknown module type'? 0.00
Why does this pattern not match after the “-”? +0.33
How to display/print unpacked type as hexadecimal in SystemVerilog? 0.00
How to get return value from call to perl sub from bash script? -0.51
verilog ; can't use "string" type in $display -0.31
Perl tar file creates directory recursively +1.76
How to convert string to floating point integer inside a perl hash? -0.55
sort numbers numerically and strings alphabetically in an array perl +2.37
How can I set 200MHz system clock? +1.46
Testbench output unexpected (always stx and red line) 0.00
FSM not working as expected (sequence detector 0110) 0.00
FSM output never gets set 0.00
creating 12 Hz square signal 50MHz clock signal -0.16
Unable to compile Micron's DDR3 memory model in Modelsim 0.00
When should I put the "dot" while instantiating a module? 0.00
How to give a two dimensional array an initial value in verilog 0.00
Simplifying "If" Statement of Arrayed Variables in Verilog 0.00
How to use Find Scope in Synopsys Verdi 0.00
How to stop an infinite while loop in Verilog +0.34
Experimental keys on scalar is now forbidden warning 0.00
Is there a way to block invalid command line parameters -0.39
Perl Use of uninitialized value in regexp compilation at warning +1.01