StackRating

An Elo-based rating system for Stack Overflow
Home   |   About   |   Stats and Analysis   |   Get a Badge
Rating Stats for

toolic

Rating
1621.40 (1,065th)
Reputation
35,458 (3,239th)
Page: 1 2 3 4 5 6 7 ... 23
Title Δ
How to prevent conflict in wire value in verilog? 0.00
GD::Graph Bar Chart column values 0.00
Can the width of an input to a module be determined inside the modu... 0.00
Streaming concatenation 0.00
about perl condition statement go wrong question +1.80
Do multiplexers rely on values from all inputs before processing? 0.00
How to generate 400MHz & 500MHz clocks from 100MHz clock using... 0.00
When shall I use the keyword "assign" in SystemVerilog? +1.75
Error when trying to synthesize Verilog code for DE1SoC? +0.35
Dividing a verilog genvar -0.16
Unexpected behaviour on this code, what is going on? +1.34
illegal combination of always and assignment 0.00
compare multiple values with a variable in SystemVerilog 0.00
What is the difference between simple assignments with "initia... +0.35
What is the dollar caret zero variable ( $^0 ) in Perl? 0.00
Verilog : error Reference to scalar wire 'VALUE' is not a l... +0.35
How do I use flip flop output as input for reset signal 0.00
Verilog Compilation Error (undeclared identifier) 0.00
Perl JSON package unable to load JSON file -> expecting unexpect... 0.00
assigning multiple if statements in a sequential always block 0.00
BCD adder in Verilog (with gates) 0.00
verilog: can not save multiple values in register 0.00
I don't understand this SV randomization randc behaviour +0.35
ModelSim unexpected z input 0.00
Why isn't the $display function inside the testbench displaying... 0.00
How can I modify this code? Error is coming out 0.00
Why isn't the $display function printing any value? 0.00
Testbench of a Mux 4x1 using Verilog 0.00
How to generate random patterns using LFSR and i am using different... 0.00
How do I locate where my variables are multi-driven? 0.00
Perl: Adding an exception to a foreach loop +1.65
Is there a way to list files recursively using Net::SFTP::Foreign? 0.00
Why is the simulation stuck in Vivado 0.00
Bidirectional constraint using the implication operator -2.68
How is the program block controlling the clock output in this code? 0.00
Perl::Critic in Brutal Mode +0.34
ShiftRegister Verilog HDL Output giving xxxxxxx 0.00
Verilog did not give the expected result 0.00
2 consecutive nonblocking assignments +1.50
8 bit carry lookahead adder error with SystemVerilog in Questasim u... 0.00
Write condition if string ends with certain string 0.00
Verilog waveform shows blue lines and Hiz for some variables 0.00
How to iterate over YAML doc in perl after storing it in a hashref -1.53
verilog error:syntax error-Is there a missing '::'? 0.00
Why this output get out with one delay? 0.00
Always block with posedge triggering somehow works at time 0 0.00
Verilog not outputting expected value in simple assignment 0.00
Can't get output value for Verilog Test bench (Simulating C17 C... 0.00
SystemVerilog: $urandom_range gives values outside of range 0.00
symlink function creating a dead link 0.00