StackRating

An Elo-based rating system for Stack Overflow
Home   |   About   |   Stats and Analysis   |   Get a Badge
Rating Stats for

scary_jeff

Rating
1566.79 (4,475th)
Reputation
3,744 (44,863rd)
Page: 1 2 3
Title Δ
Concatenating bit vector and hex in VHDL 0.00
What happens when I provide a function with the parent of the subty... 0.00
OR all elements of a std_logic_vector with a flexible size 0.00
Connecting a STD_LOGIC to a one bit STD_LOGIC_VECTOR 0.00
Incrementing a std_logic_vector in VHDL -2.33
With ModelSim, how to obtain all signals' simulation data befor... -0.58
How do we set FSM Initial State in VHDL? 0.00
VHDL block and guarded input - what does this code do? -0.09
VHDL: How to convert an iterator of generate block to std_logic_vec... 0.00
VHDL - Why are you not allowed to use variables in generate loops 0.00
VHDL asigning port to signal 0.00
Object is used but not declared 0.00
VHDL: Division with error coding but there are errors in compiling... 0.00
syntax error near behavioral +1.70
how to instantiate a dsp slice in virtex 6? 0.00
VHDL : mux output not following inputs when it comes to clock signals 0.00
Errors when running the vhdl code 0.00
Function clogb2() generated by vivado can't synthesize with loo... +0.50
VHDL fsm error - near "when": (vcom-1576) expecting END -0.31
VHDL: delay not taken into account 0.00
Can't handle registered multi driver -0.31
Will a VHDL compiler optimise this away or not? 0.00
Syntax error near "Architecture" in vhdl 0.00
VHDL - converting from level sampling to edge triggered - an intuit... 0.00
Illegal sequential statement. error using std_logic_vector 0.00
VHDL - "Net pwr is constantly driven" 0.00
Using a testbench .vhd file in vivado 0.00
i'm making an 2 bit Arithmetic logic unit in VHDL.Its giving an... 0.00
VHDL Case statement error 0.00
RS latch with VHDL +0.39
subtracting std_logic_vector from integer 0.00
active low reset in Port Mapping -1.19
VHDL - Do Functions used only in the architecture header take up FP... 0.00
Extreme pipelining in VHDL? 0.00
Stop VHDL simulation with wait statements -0.17
Synthesis global instance count +0.40
Vivado synthesis: complex assignment not supported -2.24
VHDL: "others-like" command for std_logic signals 0.00
Can't get simple Bit Sequence Recognizer circuit to work (FSM) -0.60
Signal <signal> cannot be synthesized, bad synchronous descri... 0.00
Make an arithmetic logic unit in vhdl 0.00
64 bit Multiplier in Fpga 0.00
Delay a signal in VHDL Testbench 0.00
VHDL: Syntax error near if 0.00
how to assign a slice of signal to single std logic without looping? +4.22
Synthesize error in std_logic_vector to integer conversion [ERRORS:... 0.00
Assign values to an array partially in VHDL? +3.54
Port mapping only working in some entities 0.00
Truth Table in VHDL 0.00
Best and Fastest Way for adding two std_logic_vector in VHDL 0.00