StackRating

An Elo-based rating system for Stack Overflow
Home   |   About   |   Stats and Analysis   |   Get a Badge
Rating Stats for

Serge

Rating
1505.96 (123,352nd)
Reputation
3,927 (42,729th)
Page: 1 ... 8 9 10 11 12
Title Δ
Mojo IDE - Generate For Loop Block in Verilog 0.00
Forcing multiple wires in design in SV/UVM -1.41
C use of dirent.h 0.00
Verilog null/invalid slice ranges in unreachable evaluations -1.42
fwrite/fread struct with bitfields and char * 0.00
Making an array using pointers in c +0.57
how to use recursion to count down after counting up +2.30
how does custom comparator works exactly 0.00
How are registers used in C? +1.05
C language Array modification with Malloc +0.52
How does #delay work for verilog non blocking statements? +0.46
Using fork() to run mutltiple tasks +0.54
Unexpected SVA assertion behavior for a periodic signal +0.54
Verilog Force - Release +0.04
Any concise way to calculate n * (n+1) / 2 and handling overflow at... -1.38
Understanding how malloc() is used in this context +0.53
Verilog: if else to Casex conversion 0.00
Multiple clock generation [Verilog] [Using fork-join] +0.47
Understanding difference/similarities with array and pointers in c++ -0.59
How to add a delay to code in C++. +0.90
'correct' semantics for ftell() when used on a memory stream 0.00
Macro Accessing struct member without type definition 0.00
Concatenating elements of unpacked array together +0.51
Signals not modified in some cases +0.04
How do I make a bit mask that only masks certain parts (indices) of... 0.00
How to make an empty datatype or conditional field in SystemVerilog +0.39
C++ Subset array finder looping with comma at ending? 0.00
What's the point of using pointer to pointer? -1.10
JavaFX how to set the text of a label in the calling controller, fr... 0.00
Is there a simpler way to define a condition in a for loop in C++? -0.45
Why must std::sort compare function return false when arguments are... -1.62
Why does the data written in a file using a class object in C++ get... -1.95
System Verilog randomize address equal to 2 to the power off -0.99
SystemVerilog VPI release a callback handle after a vpiForceFlag 0.00
how to store data from std::vector<short> in std::vector<u... +0.95
C: fork() dynamic memory allocation 0.00
Delete list of Singly linked list -0.68
type conversion when using pointers in arguments -0.55
More variables or one more dimension in array variable? -1.74
find the depth of binary tree with tail recursion? 0.00
How to do file operation in verilog? 0.00
Passing literal as a const ref parameter -0.78
check if array values in 2d array +0.80
? Statements in Verilog +3.91
Concurrent Always blocks in Verilog 0.00
Modeling skewed delay for combinational logic +0.27
Verilog - what is the difference in use between vertical bar (|) an... -2.91
How do I stack trace info in the case of SystemVerilog+C DPI calls? -1.80
what are the differences between wire and tri nets, wand and triand... 0.00
verilog/Systemverilog wrapper +0.03