StackRating

An Elo-based rating system for Stack Overflow
Home   |   About   |   Stats and Analysis   |   Get a Badge
Rating Stats for

dave_59

Rating
1560.31 (5,540th)
Reputation
21,379 (6,248th)
Page: 1 ... 9 10 11 12 13 ... 27
Title Δ
Making 2D arrays / matrix'es in SystemVerilog 0.00
Can you write in both behavioural and structural verilog in the sam... 0.00
Accessing a shared memory 0.00
Why is the following clock multiplication Verilog code not working... +2.19
Dividing the Clock by 216 in verilog -0.14
Optional Randomization of enum variable +0.36
Instantiate Verilog module from parameter name +1.32
Verilog macro definition simulation error 0.00
When do we use "typedef class xxxxx" in uvm? +0.37
SystemVerilog: Automatic variables cannot have non-blocking assignm... 0.00
In which phase "Initial" blocks are executed? 0.00
Max Number of Iterations/Clock Cycle +1.49
How to print to a single file from different modules in verilog? 0.00
Creating an array of child handles in system verilog 0.00
Leaving some bits in the port vector disconnected. Verilog module i... +1.34
array bit parameter range in verilog - underflow or -1 0.00
VHDL equivalent of Verilog localparam 0.00
Can interconnect be resolved in to struct type? -0.15
Modifying queue of class in systemverilog function 0.00
Synthesizable arithmetic shift in Verilog 0.00
Different Behavior of an Array Shifter in Simulation 0.00
systemverilog always_comb construct does not infer purely 0.00
SystemVerilog changing port type from wire to logic gives error whe... 0.00
How to vary a struct going through a port in system verilog - gener... 0.00
Can I use boolean and operation between an array and a bit in verilog 0.00
verilog coding style for synthesizable code +0.37
Increment integer under case state in verilog with yosys 0.00
How to turn all rand_mode on effectively after partially turn them... 0.00
Netlist simulation: Illegal "lvalue" in this context 0.00
Verilog assign part of array memory 0.00
System Verilog DPI - Running parallel threads one in cpp and other... -0.67
What is the difference between reg [7:0] a [3:0] and reg [7:0] a [0... +0.37
What is the difference between input and reg in Verilog? -0.67
SystemVerilog double semi-colon syntax error 0.00
Is it possible to pass constant parameters UPWARDS through module h... 0.00
Circular Integrator Operator in SystemVerilog 0.00
Sampling covergroup from another class in systemverilog 0.00
Resizing dynamic array in SystemVerilog -0.27
System Verilog: I am confused about the $stable statement 0.00
How to handle struct initialization in systemverilog +0.37
What is the difference in creating uvm_reg_field with or without ge... 0.00
copy fields from one class to another inside sequence item 0.00
How does " virtual" keyword work in systemverilog? 0.00
SystemVerilog ignore unused ports 0.00
How to add a new key to a Systemverilog associative array using VPI 0.00
How to check if a Systemverilog associative array has a key using VPI 0.00
Can we access nets hierarchically in system Verilog? 0.00
How does verilog behave with negative exponents? 0.00
What happens if for loop variable in VHDL or verilog code is variab... -0.51
Shift-add multiply function producing syntax errors 0.00