StackRating

An Elo-based rating system for Stack Overflow
Home   |   About   |   Stats and Analysis   |   Get a Badge
Rating Stats for

Unn

Rating
1550.28 (7,850th)
Reputation
2,722 (62,081st)
Page: 1 2 3 ... 4
Title Δ
ESP32 MQTT event processing 0.00
Register Uses in RISC-V 0.00
Linker relocation truncated to fit: R_ARM_THM_JUMP11 error and gcc... 0.00
How can I import parameters from external file using `include? +1.65
How to fill-up a dual port ROM in Quartus 0.00
How to use Arithmetic expression in Enum in system verilog? 0.00
How to determine misprediction penalty?(CPU pipeline) 0.00
Delete a certain value in a json file 0.00
Using PWM to Blink LED on ESP32 Huzzah (MicroPython) 0.00
VHDL to Verilog +2.00
If or statement not returning true when it should be +0.40
SPI COMM in Atmega328p 0.00
Using BRAM in verilog module 0.00
Relation between size of address bus and memory size; memory Segmen... 0.00
String input in MIPS omits the first four characters that are input... 0.00
ADXL345 Accelerometer data use on I2C (Beaglebone Black) 0.00
LSL but updating right bits with 1 rather than 0 0.00
Setting entire register array to zero +1.12
How many processors does Origin's bigO uses? 0.00
What is the purpose of application processor in a wifi module? 0.00
How do I instantiate a module which has a reg port in Verilog? +1.85
What does shared memory do when they get 2 write request from 2 cor... -0.14
What is the difference between mipi dsi and spi? 0.00
How to use parameterized bitwidth for a constant value in Verilog? 0.00
How to define a structure with a pointer-type item? -1.93
Null termination of string not working it seems 0.00
Verilog counter does not trigger for different clock signals 0.00
How is pipelining implemented? Can we read the firmware of a modern... 0.00
How to get the sign, mantissa and exponent of a real/shortreal in s... 0.00
What exactly is "Current Simulation Time" and Event Queue... -2.33
Are WAW and WAR hazards unique to RISC processors? 0.00
How is my simple latch diagram wrong and why is flip-flop latches c... 0.00
SystemVerilog error: "already exists; must not be redefined as... 0.00
C - Linked list of hash table keys +2.13
Unexpected behavior with force statement inside interface task 0.00
Why is iverilog complaining about my testbench module? 0.00
XOR in always sensitivity list. Verilog 0.00
systemverilog parameter array in module , how to set parameter arra... -2.42
How do things work in the fetch phase of the instruction cycle? 0.00
verilog_mode autoreginput behavior when using assignment 0.00
Intel Galileo board without using any OS 0.00
Combinatorial assignment to "composite" wire in always bl... 0.00
Find MAX value of `define in Verilog 0.00
assignments to unpacked array must be aggregate expressions: System... 0.00
Error: Iteration limit 5000 reached at time xxx ns 0.00
Verilog conditional hardware based on parameter value -0.42
Resizing dynamic array in SystemVerilog +0.27
Can anyone explain the usage of "$sreadmemh" in SystemVer... 0.00
Combine 1 bit inputs into single register appropriately -1.75
Will latches occur in sequential logic in verilog 0.00