StackRating

An Elo-based rating system for Stack Overflow
Home   |   About   |   Stats and Analysis   |   Get a Badge
Rating Stats for

Oldfart

Rating
1517.06 (38,625th)
Reputation
3,017 (56,061st)
Page: 1 2 3 ... 7
Title Δ
2 consecutive nonblocking assignments -1.50
Verilog: Can two always blocks be merged into one always block? +2.31
Is there a way to create a loop inside a case statement on vhdl? +0.48
Error in compiling of 2:1 MUX with fault handling using individual... 0.00
What is the purpose of the "begin : u" after the for loop? +2.34
VHDL Code explanation needed (std_logic_vector) 0.00
modelsim command to choose a particular test in Verilog testbench 0.00
Compute processing time Verilog using simulation and using FPGA 0.00
What do assignments with math operators (+, -, <, etc) get synth... 0.00
Verilog If statement -Appears to be triggering before Condition 0.00
What signals are required for AXI-Stream Interface? 0.00
Why does my Verilog Waveform Suddenly Stop When a Value Changes to 1 0.00
System Verilog, how to sum array values? 0.00
Suggestions for optimising FPGA design 0.00
Driving an LED from a switch -0.02
Verilog: assigning value to reg +0.47
when i perform synthesis getting warning Line 49: Result of 9-bit e... +0.47
What is the counterpart of VHDL data type integer in systemVerilog? +0.37
simple adder + testbench returning "dont care" input 0.00
implementing memory, program counter, and adder in Verilog. Confuse... +0.54
Timing of Writing at clock edge 0.00
Generated begin-end blocks must be named 0.00
Setting entire register array to zero -0.92
Incomprehensible case expression with macros 0.00
reading n bytes from a binary file until end of file in verilog -1.65
Can not able to read data from custom AXI peripheral register +0.51
Vivado Error named: [Synth 8-6859] multi-driven net on pin 0.00
Having issues with the falling edge capture 0.00
verilog sync issue with counter 0.00
Design does not finish synthesizing after 4 hours -0.02
Efficient way to loop letters through 7-seg LEDs -1.65
Verilog - Shifting a register 0.00
How do I instantiate a module which has a reg port in Verilog? -1.85
how to optimize (reduce) the latency in the verilog HDL code (hardw... 0.00
Testing Finite-state machine module in Verilog 0.00
Why am I getting syntax error near endmodule 0.00
How to make a 2D register/ array in Verilog with variable dimensions 0.00
Should I use FSM for a FIFO buffer ? ( VHDL ) 0.00
If else and case in system verilog 0.00
Trigonometric functions for single-precision floating point numbers... +0.48
I cant compile this VHDL code because of z but i dont know why and... -0.53
Array vs long vectors in Verilog 0.00
How can I convert ASCII code to characters in Verilog language -0.19
Manipulate a clocked signal in order to get 10 pulses 0.00
Comparison Not Functioning Like It Should -0.03
Why is my debouncing/counter not working in VHDL? 0.00
Difference between 1 and 1'b1 in Verilog +2.20
For loop equivalent RTL description +0.05
Verilog : Assigning ONE wire high in a vector and rest low? 0.00
Passing parameters between Verilog modules -1.98