StackRating

An Elo-based rating system for Stack Overflow
Home   |   About   |   Stats and Analysis   |   Get a Badge
Rating Stats for

Oldfart

Rating
1517.06 (38,625th)
Reputation
3,017 (56,061st)
Page: 1 2 3 4 5 ... 7
Title Δ
Verilog: proper way of connecting ports 0.00
Latches are transparent to half of the clock cycle. Means? 0.00
Access internal regs without declaring them as input/outputs 0.00
How to split the 8 bit input into two 4 bit data 0.00
vivado send a message while bistreaming, Can anyone help me to unde... 0.00
Using inputs as parameters in Verilog 0.00
Verilog Code Troubleshoot, part of data lost during write then read... 0.00
Can I use 'port map' in a 'process'? 0.00
How to fix "Indexed name is not a std_logic_vector" error... 0.00
Putting a 5-bit value to a byte in VHDL - Will this generate a latch? 0.00
std_logic_vector (to_unsigned(X, Y)); 0.00
Data being written on same address in multi-write port RAM 0.00
Writing data on memory at different clocks +0.50
How to attach a parity bit to a given 4 bit std_logic_vector? 0.00
Why is my 'data' register variable resetting to 0 once test... 0.00
Why won't === work when I compare a bit to 1'bx in iverilog? 0.00
Why am I getting "empty results" when I create a Slack Hi... 0.00
How to find middle point between 2 pulses in Verilog in an FPGA? 0.00
Finding columns in a 2-D array in Verilog 0.00
Is this VHDL code making an assignment conflict? 0.00
Is there a way to store a matrix of million bits on FPGA? 0.00
I want to import cnn trained model from c++( pytorch framework) int... 0.00
a problem on HDLBits: Design a 1-12 counter with the following inpu... 0.00
problem in ram in fpga zynq 7020, someone can give me advice? 0.00
Data Valid signal in Verilog 0.00
What FPGAs and MCUs can be programmed using only free/open tools? 0.00
How to generate a .db file from TSMC 65nm Standard Cell Library? 0.00
Verilog - take in input from multiple "sensors", incremen... 0.00
Is there a mandate for the variable to be of data type "intege... 0.00
Why don't delays synthesize in Verilog? +2.48
1's complement adder subtraction on verilog 0.00
How does one describe signal concatenation with logic diagram blocks? +0.48
Error (10734): Verilog HDL error at m.v(156): cnt is not a constant 0.00
Making a vector of wires have the same value as one wire +0.46
How to program a delay in Verilog? +2.12
How can I process a text file as input in Verilog module? 0.00
Does enum literal deceleration of states guarantee a glitch free st... +0.50
force ISE synthesis tool to synthesize a signal +0.47
When I compile my Verilog code I get this message. Anybody know wha... +2.53
I need to generate waveform as shown. in verilog code 0.00
VHDL parsing and counting some elements 0.00
Why do I get syntax error for reassignment in verilog code? 0.00
Circuit goes wrong when synthesized with a tight constraint 0.00
XADC testbench vivado simulation - analog signal problems -0.00
Macros for packing and unpacking 3-D arrays in Verilog 0.00
Is there any suggestions to Monitor results? for Store and load ins... 0.00
USB Host Controller for DE10-Standard FPGA (Altera Cyclone V SoC) 0.00
statement is not synthesizable since it does not hold its value und... +0.50
Xilinx FIFO IP block output in simulation 0.00
My outputs in 4bits fullAdder are always z and don't change 0.00