StackRating

An Elo-based rating system for Stack Overflow
Home   |   About   |   Stats and Analysis   |   Get a Badge
Rating Stats for

Oldfart

Rating
1517.06 (38,625th)
Reputation
3,017 (56,061st)
Page: 1 2 3 4 5 6 ... 7
Title Δ
How to reuse BRAM once it's not needed by module? -0.00
System verilog switch does not change 0.00
How to design a custom ip (axi compatible) to read and write from D... 0.00
Is there any way to save the trained data in Verilog? 0.00
how Byte Address memory in Altera FPGA? 0.00
if statement inside counter in VHDL 0.00
how to initialize an output on verilog (sequential circuit) +0.50
how to set fpga attribute? 0.00
Generating random integer in vhdl +0.43
Unable to access Znyq AXI BRAM from Linux 0.00
Verilog d flipflop circuit testing -2.17
For Loop In Verilog Does Not Converge -2.19
Is the use of 'event attribute on non-clock signal bad practice? 0.00
verilog synthesis not converging after 2000 iterations -0.17
display 3-bit on 7 segment display +0.49
what is this command in Verilog -0.51
How to remove OBUF in the elaborated schematic design in vivado? 0.00
Verilog: "Unspecified I/O standard" and "Poor placem... 0.00
How do I communicate multiple ARINC429 channels with SPI slave devi... 0.00
run Implementation error. it's my coding wrong? +0.50
verilog reg as loop counter cannot use the max number -1.42
initial block execution order in verilog +1.79
VHDL if-else condition order -0.01
Max Number of Iterations/Clock Cycle -1.49
Verilog counter in FSM state 0.00
FPGA what clock frequency -1.56
Why the depth of Ram or FIFO is always 2 times of the width of addr... 0.00
Ring Oscillator in Verilog using generate 0.00
Signal assignment in a clocked process happening instantly 0.00
Error in ncelab: F*MISLUN: missing top level module, design unit name 0.00
Can you implement multiplication and division in ALU? (VHDL) 0.00
How to connect our push button to ZYNQ-based board's GPIO? 0.00
why do i get syntax eror? 0.00
malformed statement in verilog3 +0.49
Why do FPGAs use LUTs rather than traditional logic gates? +0.06
What happens if for loop variable in VHDL or verilog code is variab... +0.51
Asynchronous Reset in Verilog 0.00
Concurrent assignment error in verilog 0.00
How to dynamically change value assigned to a vector register 0.00
Assigning chunk of data from an ipcore output to next ipcore input 0.00
Error in adding two 4-bit numbers in verilog 0.00
Mux for INOUT ports -2.00
VHDL: analogous to Verlilog syntax for describing an adder -1.77
How to connect inout signal to output and input port 0.00
lattice mackXO3 board output transient 0.00
My VHDL flag needs to terminate 0.00
Encoder for bitstream audio format to SDIF VHDL 0.00
How do I output a square wave corresponding to a binary number afte... 0.00
SystemVerilog Initialize multi dimensional parameterized array in +2.50
Connect two fpga through the usb protocol 0.00