StackRating

An Elo-based rating system for Stack Overflow
Home   |   About   |   Stats and Analysis   |   Get a Badge
Rating Stats for

Greg

Rating
1564.37 (4,856th)
Reputation
13,439 (10,732nd)
Page: 1 ... 7 8 9 10 11 12
Title Δ
Casting struct to logic 0.00
Casting enum to logic 0.00
Best way to sort a SystemVerilog associative array? -0.09
Constraining an entire array in SystemVerilog based on another array 0.00
Mips instruction single cycle datapath 0.00
Verilog HDL syntax error near text "for"; expecting "... -0.55
Verilog: functionality like always & synthesizable -0.05
Using a continous assignment in a Verilog procedure? 0.00
Found 'module' keyword inside a module before the 'endmodule' 0.00
Signal EXCEPTION_ACCESS_VIOLATION received xilinx 0.00
Passing string values to SystemVerilog parameter -0.52
getting "expecting a statement" on the line: "always... 0.00
VHDL constant used in Verilog 0.00
Parameter array in Verilog +0.45
Verilog: Better syntax for many cases in a case structure 0.00
Which is a better method of designing an upcounter in verilog from... +0.44
SystemVerilog: Parameter used in concatenation gives error with irun 0.00
Does SystemVerilog random stability apply to std::randomize()? 0.00
Prevent systemverilog compilation if certain macro isn't set +0.68
Verilog: Reading 1 bit input and Writing it to 288 bit reg +0.01
Verilog testbench design for my MSB downsampling module 0.00
verilog compiler error: near ";": syntax error, unexpecte... 0.00
Module Reference Error 0.00
Assigning an ID number or code to Verilog module -0.05
Verilog Syntax Error 0.00
Xilinx warnings (FF/Latch trimming) in Verilog for a MSB downsampling 0.00
Please explain this SystemVerilog syntax {>>byte{...}} -0.05
Verilog Code: Output Malfunction 0.00
harware implemenation of multiplier 0.00
Error in program block and Systemverilog testbench: 0.00
Sinusoidal Pulse Width Modulation in FPGA Device - OK in Simulation... 0.00
Evaluation Event Scheduling - Verilog Stratified Event Queue 0.00
Monitor statement verilog 0.00
What should '{default:'1} do in system verilog? 0.00
Is there a way to do nested generate statements in Verilog? 0.00
Verilog Blocking Assignment -1.14
virtual interface in system verilog also dynamic array cannot be us... -0.29
second if loop is not working in verilog and latches are generated... -0.06
Verilog: Converted Integer Not Working for For loop 0.00
What is inferred latch and how it is created when it is missing els... +1.14
Verilog error: # KERNEL: hold=xxxxxxxx -0.07
Memory allocation in system verilog for dynamic array - new() / ran... -0.06
Analyze a packed structure in SystemVerilog to determine it's size? 0.00
Fifo buffer in Verilog. generate always -0.57
Reset If-Else statement produces improper results -1.36
Verilog code error 0.00
How can I timing in verilog from ns to s? 0.00
verilog behavioral RTL to structural 0.00
How to clear the errors for microprocessor design 0.00
Common header file between SystemC and Verilog +0.43